题解 | #异步复位的串联T触发器#

异步复位的串联T触发器

https://www.nowcoder.com/practice/9c8cb743919d405b9dac28eadecddfb5


T触发器是上升沿触发的,当data为1时,Q翻转。data为0时,Q保持不变

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q  
);
//*************code***********//
    reg ndata;
    always @(posedge clk or negedge rst) begin
        if (!rst) ndata <= 0;
        else if (data) ndata <= ~ndata;
		else ndata <= ndata;
    end

    always @(posedge clk or negedge rst) begin
        if (!rst) q <= 0;
        else if (ndata) q <= ~q;
		else q <= q;
    end
//*************code***********//
endmodule


全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务