题解 | #信号反转输出#

信号反转输出

https://www.nowcoder.com/practice/9cb3f65e05ac4106aad321db128defb0

`timescale 1ns/1ns

module top_module(
    input [15:0] in,
	output [15:0] out
);
genvar  i;
generate 
for (i=0;i<16;i = i+1)
begin : label 
 assign out[16-i-1] = in[i];
end
endgenerate

endmodule

generate for语句是Verilog中的一种用于在编译时生成硬件结构的语法。

generate

for (genvar iterator = initial_value; iterator < limit_value; iterator = iterator + step_value)

begin : loop_label

// Generate hardware structure based on the iterator value

// ...

end

endgenerate

全部评论

相关推荐

拿到了ssp的小鲸鱼...:满分160做90+是吧
投递中国电信等公司10个岗位
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务