题解 | #乘法与位运算#

乘法与位运算

https://www.nowcoder.com/practice/e41980b698624eb2b20c0d6e2bee7f45

`timescale 1ns/1ns

module dajiang13(
    input  [7:0]    A,
    output [15:0]   B
	);

//*************code***********//
wire [15:0]buff [0:7];
assign buff[0]=A;
assign buff[1]=A<<1;
assign buff[2]=A<<2;
assign buff[3]=A<<3;
assign buff[4]=A<<4;
assign buff[5]=A<<5;
assign buff[6]=A<<6;
assign buff[7]=A<<7;
assign B=buff[0]+buff[1]+buff[3]+buff[4]+buff[5]+buff[6]+buff[7];
//*************code***********//

endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务