首页
题库
公司真题
专项练习
面试题库
在线编程
面试
面试经验
AI 模拟面试
简历
求职
学习
基础学习课
实战项目课
求职辅导课
专栏&文章
竞赛
我要招人
发布职位
发布职位、邀约牛人
更多企业解决方案
AI面试、笔试、校招、雇品
HR免费试用AI面试
最新面试提效必备
登录
/
注册
调皮的烤冷面爱健身
获赞
2
粉丝
0
关注
0
看过 TA
2
湖南科技大学
2024
算法工程师
IP属地:湖南
暂未填写个人简介
私信
关注
拉黑
举报
举报
确定要拉黑调皮的烤冷面爱健身吗?
发布(26)
评论
刷题
收藏
调皮的烤冷面爱健身
关注TA,不错过内容更新
关注
2023-09-05 16:22
湖南科技大学 算法工程师
题解 | #四选一多路器#
`timescale 1ns/1ns module mux4_1( input [1:0]d1,d2,d3,d0,s1,s2, input [1:0]sel, output[1:0]mux_out ); reg [1:0] mux_out1; always @(*)begin if(sel==2'b00) mux_out1=d3; else if(sel==2'b01) mux_out1=d2; else if(sel==2'b10) mux_out1=d1; else if(sel==2'b11) mux_out1=d0; end assign mux_out=mux_out1; endmo...
0
点赞
评论
收藏
分享
2023-09-05 16:14
湖南科技大学 算法工程师
题解 | #四选一多路器#
`timescale 1ns/1ns module mux4_1( input [1:0]d1,d2,d3,d0,s1,s2, input [1:0]sel, output[1:0]mux_out ); reg [1:0] mux_out1; always @(*)begin case(sel) 2'b00:mux_out1 = d3; 2'b01:mux_out1 = d2; 2'b10:mux_out1 = d1; 2'b11:mux_out1 = d0; endcase end assign mux_out=mux_out1; endmodule
0
点赞
评论
收藏
分享
2023-09-01 15:47
湖南科技大学 算法工程师
题解 | #256选1选择器#
`timescale 1ns/1ns module top_module ( input [255:0] in, input [7:0] sel, output out ); reg out; integer i; always @(*) begin for(i=0;i<=256;i=i+1) if(sel==i) out=in[i]; end endmodule
0
点赞
评论
收藏
分享
2023-09-01 15:26
湖南科技大学 算法工程师
题解 | #五到一选择器#
`timescale 1ns/1ns module top_module( input [3:0] a, b, c, d, e, input [2:0] sel, output reg [3:0] out ); always@(*) begin if(sel==0) out=a; else if(sel==1) out=b; else if(sel==2) out=c; else if(sel==3) out=d; else if(sel==4) out=e; end endmodule
0
点赞
评论
收藏
分享
2023-09-01 15:24
湖南科技大学 算法工程师
题解 | #五到一选择器#
`timescale 1ns/1ns module top_module( input [3:0] a, b, c, d, e, input [2:0] sel, output reg [3:0] out ); always@(*) begin if(sel==0) begin out=a; end else if(sel==1) begin out=b; end else if(sel==2) begin out=c; end else if(sel==3) begin out=d; end else if(sel==4) begin out=e; end end endmodule
0
点赞
评论
收藏
分享
2023-09-01 15:13
湖南科技大学 算法工程师
题解 | #五到一选择器#
`timescale 1ns/1ns module top_module( input [3:0] a, b, c, d, e, input [2:0] sel, output reg [3:0] out ); always@(*) case(sel) 0:out=a; 1:out=b; 2:out=c; 3:out=d; 4:out=e; default: out=0; endcase endmodule
0
点赞
评论
收藏
分享
2023-09-01 13:00
湖南科技大学 算法工程师
题解 | #多位信号xnor#
`timescale 1ns/1ns module top_module( input a, b, c, d, e, output [24:0] out ); wire [24:0] out1, out2; reg [24:0] out3; assign out1[24:0] = {{5{a}},{5{b}},{5{c}},{5{d}},{5{e}}}; assign out2[24:0] = {5{a,b,c,d,e}}; integer i; always @(*) begin for(i=0;i<25;i=i+1) begin out3[i] = (out1[i]==out2[i]...
0
点赞
评论
收藏
分享
2023-09-01 11:40
湖南科技大学 算法工程师
题解 | #三元操作符#
`timescale 1ns/1ns module top_module( input [7:0] a, b, c, d, output [7:0] max);// assign max=(a>b)?a:(b>c)?b:(c>d)?c:d; endmodule
0
点赞
评论
收藏
分享
2023-09-01 10:58
湖南科技大学 算法工程师
题解 | #信号反转输出#
`timescale 1ns/1ns module top_module( input [15:0] in, output [15:0] out ); genvar i; generate for(i=0;i<=15;i=i+1) begin assign out[15-i] = in[i]; end endgenerate endmodule
0
点赞
评论
收藏
分享
2023-08-31 19:58
湖南科技大学 算法工程师
题解 | #信号反转输出#
`timescale 1ns/1ns module top_module( input [15:0] in, output [15:0] out ); reg [15:0] out1; integer i; always @* begin for (i=0;i<=15;i=i+1) begin out1[15-i]=in[i]; end end assign out=out1; endmodule
0
点赞
评论
收藏
分享
2023-08-31 17:18
湖南科技大学 算法工程师
题解 | #信号级联合并#
`timescale 1ns/1ns module top_module( input [4:0] a, b, c, d, e, f, output [7:0] w, x, y, z ); assign {w,x,y,z}={a,b,c,d,e,f,2'b11}; endmodule
0
点赞
评论
收藏
分享
2023-08-31 17:08
湖南科技大学 算法工程师
题解 | #对信号按位操作#
`timescale 1ns/1ns module top_module( input [4:0] in, output out_and, output out_or, output out_xor ); assign out_and=in[4]&in[3]&in[2]&in[1]&in[0]; assign out_or=in[4]|in[3]|in[2]|in[1]|in[0]; assign out_xor=in[4]^in[3]^in[2]^in[1]^in[0]; endmodule
0
点赞
评论
收藏
分享
2023-08-31 16:56
湖南科技大学 算法工程师
题解 | #位运算与逻辑运算#
`timescale 1ns/1ns module top_module( input [2:0] a, input [2:0] b, output [2:0] c, output d ); assign c=a|b , d=a||b; endmodule
0
点赞
评论
收藏
分享
2023-08-31 16:49
湖南科技大学 算法工程师
题解 | #信号顺序调整#
`timescale 1ns/1ns module top_module( input in, output out ); input wire [3:0] a,b,c,d; assign in = {a,b,c,d} , out = {d,c,b,a} ; endmodule
0
点赞
评论
收藏
分享
2023-08-31 16:31
湖南科技大学 算法工程师
题解 | #信号顺序调整#
`timescale 1ns/1ns module top_module( input [15:0] in , output [15:0] out ); wire [3:0] a,b,c,d; assign in = {a,b,c,d} , out = {d,c,b,a} ; endmodule
0
点赞
评论
收藏
分享
1
2
创作者周榜
更多
关注他的用户也关注了:
牛客网
牛客网在线编程
牛客网题解
牛客企业服务