题解 | 异步复位的串联T触发器

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q  
);
//*************code***********//
output reg q1;
always @ (posedge clk or negedge rst)
    begin
        if(!rst)begin
            q1 <= 1'b0;    
        end
        else begin
            if(data)
                q1 <= ~ q1;
            else
                q1 <= q1;
        end
    end
always @(posedge clk or negedge rst)
    begin
        if(!rst)begin
            q <= 1'b0;
        end
        else begin
            if( q1 )
                q <= ~q;
            else
                q <= q;
        end
    end

//*************code***********//
endmodule

全部评论
叠个甲 小菜鸡一枚 大佬们求轻喷
点赞 回复 分享
发布于 01-08 09:46 黑龙江

相关推荐

野猪不是猪🐗:😇:恭喜你以出色的表现成为xxx的一员 😨:您以进入本公司人才库 实际点开:您愿望单中的xxx正在特卖!
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务