题解 | #边沿检测#

边沿检测

https://www.nowcoder.com/practice/fed4247d5ef64ac68c20283ebace11f4

`timescale 1ns/1ns
module edge_detect(
	input clk,
	input rst_n,
	input a,
	
	output reg rise,
	output reg down
);

reg [1:0] a1a0;

always @(posedge clk or negedge rst_n) begin
	if(rst_n == 1'b0) begin
		a1a0 <= 2'b00;
	end
	else begin
		a1a0 <= {a1a0[0],a};
	end	
end

always @(*) begin
	if(rst_n == 1'b0) begin
		rise <= 1'b0;
		down <= 1'b0;
	end
	else if(a1a0 == 2'b01) begin
		rise <= 1'b1;
	end
	else if(a1a0 == 2'b10) begin
		down <= 1'b1;
	end
	else begin
		rise <= 1'b0;
		down <= 1'b0;
	end	
end
	
endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务