格雷码与二进制转换的verilog实现

    格雷码(Gray code)又称为循环码。格雷码最大优点在于当它按照下表编码顺序依次变化时,相邻两个代码之间只有一位发生变化,这样在代码转换的过程中就不会产生噪声。

    二进制码就不用介绍了。
        以下是二进制码转换为格雷码的原理图1:
        以下是格雷码转换为二进制码的原理图2:
        由原理图1可知二进制码转换为格雷码的verilog代码为:
assign gray = (bin >> 1) ^ bin;
 由原理图2可知格雷码转换为二进制码的verilog代码为:
always@(*)
    begin
        bin[3] = gray[3];
        bin[2] = gray[2] ^ bin[3];
        bin[1] = gray[1] ^ bin[2];
        bin[0] = gray[0] ^ bin[1];
    end

        其中,格雷码转换为二进制码时需要注意:

        二进制最高输出同时也会作为次高位的输入,因此要注意其顺序,所以此处应使用阻塞赋值方法。




#社招##面经#
全部评论
楼主厉害,佩服啊
点赞
送花
回复 分享
发布于 2022-07-26 11:11
清晰易懂
点赞
送花
回复 分享
发布于 2023-08-28 11:32 四川
国泰君安
校招火热招聘中
官网直投

相关推荐

点赞 4 评论
分享
牛客网
牛客企业服务