题解 | #逻辑运算#

逻辑运算

https://www.nowcoder.com/practice/b5621dc17c134c7f855b072924aceed9

`timescale 1ns/1ns

module top_module (
	input a,
	input b,
	input c,
	input d,
	output e,
	output f );
	assign x=a&b;
	assign y=c|d;
	assign f=(~x&y)+(~y&x);
	assign e =!f;

endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务