题解 | #4bit超前进位加法器电路#

4bit超前进位加法器电路

https://www.nowcoder.com/practice/4d5b6dc4bb2848039da2ee40f9738363

`timescale 1ns/1ns

module lca_4(
	input		[3:0]       A_in  ,
	input	    [3:0]		B_in  ,
    input                   C_1   ,
 
 	output	 wire			CO    ,
	output   wire [3:0]	    S
);
    
  wire [3:0] Gi, Pi, Ci;

  assign  Pi = A_in ^ B_in;// Pi = Ai同或Bi
  assign  Gi = A_in & B_in;// Gi = AiBi

  assign  Ci[0] = Gi[0] | (Pi[0] & C_1);//对应 Ci1 
  assign  Ci[1] = Gi[1] | (Pi[1] & Ci[0]);//对应 Ci1
  assign  Ci[2] = Gi[2] | (Pi[2] & Ci[1]);//对应 Ci2 
  assign  Ci[3] = Gi[3] | (Pi[3] & Ci[2]);//对应 Ci3 

  assign  S = {Pi[3] ^ Ci[2],  Pi[2] ^ Ci[1],  Pi[1] ^ Ci[0],  Pi[0] ^ C_1 };
  assign  CO = Ci[3];
endmodule

全部评论

相关推荐

移动云能力 苏小妍 总包多3w左右
点赞 评论 收藏
转发
点赞 收藏 评论
分享
牛客网
牛客企业服务