题解 | #逻辑运算2#

逻辑运算2

https://www.nowcoder.com/practice/1bffba6912a34c408bf59ad89d049977

`timescale 1ns/1ns

module top_module (
	input a,
	input b,
	input c,
	input d,
	output e,
	output f );
	
assign e = ~((a&b) ^ (c^d));
assign f = ((a&b) ^ (c^d)) | d;
endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务