题解 | #可置位计数器#

可置位计数器

https://www.nowcoder.com/practice/b96def986e29475e8100c213178b73a8

`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,
	input set,
	input [3:0] set_num,
	output reg [3:0]number,
	output reg zero
	);

	reg			rst_async_n;
	reg			set_d1;
	// *********************** 为满足题目的时序 ***************** //
	// 将复位设置成异步复位,同步释放
	always @(posedge clk or negedge rst_n) begin
		if(!rst_n)
			rst_async_n <= 1'b0;
		else
			rst_async_n <= 1'b1;
	end
    // 将set信号打一拍
	always @(posedge clk or negedge rst_async_n) begin
		if(!rst_async_n)
			set_d1 <= 1'b0;
		else
			set_d1 <= set;
	end
	// ********************************************************** //
	// number
	always @(posedge clk or negedge rst_async_n) begin
		if(!rst_async_n)
			number <= 4'd0;
		else if(set_d1)
			number <= set_num;
		else
			number <= number + 1'b1;
	end
	
	// zero
	always@(*)begin
		if(!rst_async_n)
			zero = 0;
		else
			zero = (number == 4'b0);
	end
			




endmodule

全部评论

相关推荐

不愿透露姓名的神秘牛友
06-13 19:30
化身华黑&nbsp;今天询问对接人审批情况,结果被告知没HC了&nbsp;云计算&nbsp;
苦闷的柠檬精allin实习:主管面结束后hr每周保温一次,结果前几天和我说没hc了,我也化身华黑子了
点赞 评论 收藏
分享
nus22016021404:兄弟,你这个简历撕了丢了吧,就是一坨,去找几个项目,理解项目流程,看几遍就是你的了,看看八股就去干了,多看看牛客里别人发出来的简历,对着写,你这写的啥啊,纯一坨
点赞 评论 收藏
分享
06-02 15:53
阳光学院 Java
点赞 评论 收藏
分享
评论
1
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务