题解 | #根据RTL图编写Verilog程序#

根据RTL图编写Verilog程序

https://www.nowcoder.com/practice/41a06522d8b242808c31a152bf948b5e

`timescale 1ns/1ns

module RTL(
	input clk,
	input rst_n,
	input data_in,
	output reg data_out
	);
	reg					data_d;
	always @(posedge clk or negedge rst_n) begin
		if(!rst_n) begin
			data_d <= 1'b0 ;
		end
		else begin
			data_d <= data_in ;
		end
	end
	assign data_tmp = data_in & (!data_d) ;
	always @(posedge clk or negedge rst_n) begin
		if(!rst_n) begin
			data_out <=1'b0 ;
		end
		else begin
			data_out <= data_tmp ;
		end
	end
endmodule

全部评论

相关推荐

点赞 评论 收藏
分享
点赞 评论 收藏
分享
1 收藏 评论
分享
牛客网
牛客企业服务