题解 | #根据状态转移图实现时序电路#

根据状态转移图实现时序电路

https://www.nowcoder.com/practice/e405fe8975e844c3ab843d72f168f9f4

`timescale 1ns/1ns

module seq_circuit(
   input                C   ,
   input                clk ,
   input                rst_n,
 
   output   wire        Y   
);

reg a,b;

always @ (posedge clk or negedge rst_n) begin
    if (~rst_n) begin
        a <= 0;
        b <= 0;
    end
    else begin
        a <= ~C&a | C&~b;
        b <= ~C&a | C&b;
    end
end

assign Y = ~C&b&a | C&b;

endmodule

#verilog刷题记录#
全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务