题解 | #根据状态转移表实现时序电路#
根据状态转移表实现时序电路
https://www.nowcoder.com/practice/455c911bee0741bf8544a75d958425f7
`timescale 1ns/1ns
module seq_circuit(
input A ,
input clk ,
input rst_n,
output wire Y
);
reg a,b;
always @ (posedge clk or negedge rst_n) begin
if(~rst_n) begin
a <=0;
b <=0;
end
else begin
a <= ~a;
b <= ~A&(a^b) | ~(a^b)&A;
end
end
///////这里的赋值根据真值表我还是觉得应该用时序逻辑。但是仿真结果表明组合逻辑是对的。
assign Y = a&b;
endmodule
查看28道真题和解析