题解 | #乘法与位运算#

乘法与位运算

https://www.nowcoder.com/practice/e41980b698624eb2b20c0d6e2bee7f45

`timescale 1ns/1ns

module dajiang13(
    input  [7:0]    A,
    output [15:0]   B
	);

//*************code***********//
wire [15:0] a;

assign a = {8'b0,A}; 

//assign B = a<<7 + a<<6 + a<<5 + a<<4 + a<<3 + a<<1 + a; //这样写错了,,+和《存在优先级问题
assign B = { a<<7}+{ a<<6}+{a<<5} + {a<<4 } + {a<<3 } + {a<<1} + {a};
//128+64+32+16+8+2+1=251
//*************code***********//

endmodule

全部评论

相关推荐

点赞 评论 收藏
转发
点赞 收藏 评论
分享
牛客网
牛客企业服务