题解 | #4位数值比较器电路#

4位数值比较器电路

https://www.nowcoder.com/practice/e02fde10f1914527b6b6871b97aef86d

(1) 没有采用门级
`timescale 1ns/1ns

module comparator_4(
	input		[3:0]       A   	,
	input	   [3:0]		B   	,
 
 	output	 wire		Y2    , //A>B
	output   wire        Y1    , //A=B
    output   wire        Y0      //A<B
);

    wire a3_b3;
    wire a2_b2;
    wire a1_b1;
    wire a0_b0;

    //a3 == b3
    assign a3_b3 = (~(A[3]^B[3]));
    assign a2_b2 = (~(A[2]^B[2]));
    assign a1_b1 = (~(A[1]^B[1]));
    assign a0_b0 = (~(A[0]^B[0]));
    
    assign Y2 = (A[3] & ~B[3]) || ( a3_b3 & (A[2] & ~B[2]) ) 
                || (a3_b3 & a2_b2 & (A[1] & ~B[1])) 
                || (a3_b3 & a2_b2 & a1_b1 & (A[0] & ~B[0]));
    assign Y1 = a3_b3 & a2_b2 & a1_b1 & a0_b0;
    assign Y0 = ~(Y2 | Y1);
    

endmodule


全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务