题解 | #求两个数的差值#
求两个数的差值
https://www.nowcoder.com/practice/de8e9138214647f1826e99043a1b7990
`timescale 1ns/1ns
module data_minus(
input clk,
input rst_n,
input [7:0]a,
input [7:0]b,
output reg [8:0]c
);
always@(posedge clk or negedge rst_n) begin
if(!rst_n) begin
c <= 0;
end else begin
if(a > b)
c = a - b;
else
c = b - a;
end
end
endmodule
module data_minus(
input clk,
input rst_n,
input [7:0]a,
input [7:0]b,
output reg [8:0]c
);
always@(posedge clk or negedge rst_n) begin
if(!rst_n) begin
c <= 0;
end else begin
if(a > b)
c = a - b;
else
c = b - a;
end
end
endmodule