题解 | #使用generate…for语句简化代码#

使用generate…for语句简化代码

https://www.nowcoder.com/practice/618cb8d16a2c4e87b9e305f6659efe40

`timescale 1ns/1ns
module gen_for_module( 
    input [7:0] data_in,
    output [7:0] data_out
);
genvar i;
generate
    for(i = 0;i<=3'd7;i=i+1)begin
        assign data_out[i] = data_in[7-i];
    end
endgenerate
 
endmodule

全部评论

相关推荐

昨天 01:00
西南石油大学 C++
😡😡😡😡😡
CmosWolf:秋招结束了,好累。我自编了一篇对话,语言别人看不懂,我觉得有某种力量在控制我的身体,我明明觉得有些东西就在眼前,但身边的人却说啥也没有,有神秘人通过电视,手机等在暗暗的给我发信号,我有时候会突然觉得身体的某一部分不属于我了。面对不同的人或场合,我表现出不一样的自己,以至于都不知道自己到底是什么样子的人。我觉得我已经做的很好,不需要其他人的建议和批评,我有些时候难以控制的兴奋,但是呼吸都让人开心。
点赞 评论 收藏
分享
勇敢的联想人前程似锦:如果我是你,身体素质好我会去参军,然后走士兵计划考研211只需要200多分。
点赞 评论 收藏
分享
10-15 20:20
已编辑
门头沟学院 Java
代码不跑我跑_bug版:吓死哥们,还好还好,就怕哥们开路虎。
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务