题解 | #状态机-非重叠的序列检测#

状态机-非重叠的序列检测

https://www.nowcoder.com/practice/2e35c5c0798249aaa2e1044dbaf218f2

`timescale 1ns/1ns

module sequence_test1(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);
//*************code***********//
reg [6:0] next_state;
reg [6:0] current_state;

always @(posedge clk or negedge rst)begin
	if(!rst)begin
		current_state <= 7'b0000001;
	end
	else begin
		current_state <= next_state;
	end
end

always @(posedge clk or negedge rst)begin
	if(!rst)begin
		flag <= 1'b0;
	end
	else begin
		if(next_state == 7'b0100000)begin
			flag <= 1'b1;
		end
		else begin
			flag <= 1'b0;
		end
	end
end

always @(*)begin
	case(current_state)
	7'b0000001:begin
		if(data)begin
			next_state = 7'b0000010;
		end
		else begin
			next_state = 7'b0000001;
		end
	end
	7'b0000010:begin
		if(!data)begin
			next_state = 7'b0000100;
		end
		else begin
			next_state = 7'b0000010;
		end
	end
	7'b0000100:begin
		if(data)begin
			next_state = 7'b0001000;
		end
		else begin
			next_state = 7'b0000001;
		end
	end
	7'b0001000:begin
		if(data)begin
			next_state = 7'b0010000;
		end
		else begin
			next_state = 7'b0000100;
		end
	end
	7'b0010000:begin
		if(data)begin
			next_state = 7'b0100000;
		end
		else begin
			next_state = 7'b0000100;
		end
	end
	7'b0100000:begin
		if(data)begin
			next_state = 7'b1000000;
		end
		else begin
			next_state = 7'b1000000;
		end
	end
	7'b1000000:begin
		next_state = 7'b1000000;
	end
	endcase
end

//*************code***********//
endmodule

全部评论
注意flag的判决条件是next_state,如果用current_state,结果相对于参考会落后一个时钟
点赞 回复 分享
发布于 2024-06-09 14:40 甘肃

相关推荐

码农索隆:传音老登来也。 但是这个我不知道怎么回答,不仅仅传音吧,很多公司在候选人不第一时间接受offer或主动将报道时间延期时,都会再从池子里面捞人,直到l捞到满足公司所有要求的人。
秋招的第一个offer,...
点赞 评论 收藏
分享
牛客小菜鸡66:boss里面,招人的叫老板,找工作的叫牛人
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务