题解 | #含有无关项的序列检测#
含有无关项的序列检测
https://www.nowcoder.com/practice/cba67d06d6834a5d9b93e1087b56c8d8
`timescale 1ns/1ns module sequence_detect( input clk, input rst_n, input a, output reg match ); reg [8:0] buff; always @(posedge clk or negedge rst_n) begin if (~rst_n) begin buff <= 0; end else begin buff[0] <= a; buff[1] <= buff[0]; buff[2] <= buff[1]; buff[3] <= buff[2]; buff[4] <= buff[3]; buff[5] <= buff[4]; buff[6] <= buff[5]; buff[7] <= buff[6]; buff[8] <= buff[7]; end end always @(posedge clk or negedge rst_n) begin if (~rst_n) begin match <= 0; end else begin if ({buff[8:6], buff[2:0]} == 6'b011110) begin match <= 1; end else begin match <= 0; end end end endmodule