题解 | #信号反转输出#

信号反转输出

https://www.nowcoder.com/practice/9cb3f65e05ac4106aad321db128defb0

`timescale 1ns/1ns

module top_module(
    input [15:0] in,
	output [15:0] out
);
genvar i;
generate
    for(i=0;i<16;i=i+1)
    begin: loop
        assign out[i]=in[15-i];
    end
endgenerate
endmodule

全部评论

相关推荐

点赞 评论 收藏
转发
点赞 收藏 评论
分享
牛客网
牛客企业服务