题解 | #优先编码器电路①#

优先编码器电路①

https://www.nowcoder.com/practice/0594ed5303ad48a19aed90bc48839d00

这道题感觉case方法比if else好用


`timescale 1ns/1ns

module encoder_0(
   input      [8:0]         I_n   ,
   
   output reg [3:0]         Y_n   
);

always@(*)
  casex(I_n)
  9'b111111111: Y_n <= 4'b1111;
  9'b10xxxxxxx: Y_n <= 4'b0111;
  9'b110xxxxxx: Y_n <= 4'b1000;
  9'b1110xxxxx: Y_n <= 4'b1001;
  9'b11110xxxx: Y_n <= 4'b1010;
  9'b111110xxx: Y_n <= 4'b1011;
  9'b1111110xx: Y_n <= 4'b1100;
  9'b11111110x: Y_n <= 4'b1101;
  9'b111111110: Y_n <= 4'b1110;
  default: Y_n <= 4'b0110;
  endcase
  
endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务