题解 | #优先编码器电路①#

优先编码器电路①

https://www.nowcoder.com/practice/0594ed5303ad48a19aed90bc48839d00

`timescale 1ns/1ns

module encoder_0(
   input      [8:0]         I_n   ,
   
   output reg [3:0]         Y_n   
);
//////////////////①
always @ (*) begin
    casex(I_n)
    9'b111111111:Y_n = 4'hf;
    9'b0xxxxxxxx:Y_n = 4'b0110;
    9'b10xxxxxxx:Y_n = 4'b0111;
    9'b110xxxxxx:Y_n = 4'b1000;
    9'b1110xxxxx:Y_n = 4'b1001;
    9'b11110xxxx:Y_n = 4'b1010;
    9'b111110xxx:Y_n = 4'b1011;
    9'b1111110xx:Y_n = 4'b1100;
    9'b11111110x:Y_n = 4'b1101;
    9'b111111110:Y_n = 4'b1110;
    endcase
end
////////////////////②
always @ (*) begin
    casez(I_n)
    9'b111111111:Y_n = 4'hf;
    9'b0????????:Y_n = 4'b0110;
    9'b10???????:Y_n = 4'b0111;
    9'b110??????:Y_n = 4'b1000;
    9'b1110?????:Y_n = 4'b1001;
    9'b11110????:Y_n = 4'b1010;
    9'b111110???:Y_n = 4'b1011;
    9'b1111110??:Y_n = 4'b1100;
    9'b11111110?:Y_n = 4'b1101;
    9'b111111110:Y_n = 4'b1110;
    endcase
end
///////////////////////////③
always @ (*) begin
    casez(I_n)
    9'b111111111:Y_n = 4'hf;
    9'b0zzzzzzzz:Y_n = 4'b0110;
    9'b10zzzzzzz:Y_n = 4'b0111;
    9'b110zzzzzz:Y_n = 4'b1000;
    9'b1110zzzzz:Y_n = 4'b1001;
    9'b11110zzzz:Y_n = 4'b1010;
    9'b111110zzz:Y_n = 4'b1011;
    9'b1111110zz:Y_n = 4'b1100;
    9'b11111110z:Y_n = 4'b1101;
    9'b111111110:Y_n = 4'b1110;
    endcase
end
////////////////////④
always @ (*) begin
    casex(I_n)
    9'b111111111:Y_n = 4'hf;
    9'b0zzzzzzzz:Y_n = 4'b0110;
    9'b10zzzzzzz:Y_n = 4'b0111;
    9'b110zzzzzz:Y_n = 4'b1000;
    9'b1110zzzzz:Y_n = 4'b1001;
    9'b11110zzzz:Y_n = 4'b1010;
    9'b111110zzz:Y_n = 4'b1011;
    9'b1111110zz:Y_n = 4'b1100;
    9'b11111110z:Y_n = 4'b1101;
    9'b111111110:Y_n = 4'b1110;
    endcase
end
//////////////////////////////⑤
always @ (*) begin
    casex(I_n)
    9'b111111111:Y_n = 4'hf;
    9'b0????????:Y_n = 4'b0110;
    9'b10???????:Y_n = 4'b0111;
    9'b110??????:Y_n = 4'b1000;
    9'b1110?????:Y_n = 4'b1001;
    9'b11110????:Y_n = 4'b1010;
    9'b111110???:Y_n = 4'b1011;
    9'b1111110??:Y_n = 4'b1100;
    9'b11111110?:Y_n = 4'b1101;
    9'b111111110:Y_n = 4'b1110;
    endcase
end


endmodule

#verilog刷题记录#
全部评论

相关推荐

4 收藏 评论
分享
牛客网
牛客企业服务