题解 | #整数倍数据位宽转换8to16#

整数倍数据位宽转换8to16

https://www.nowcoder.com/practice/f1fb03cb0baf46ada2969806114bce5e

`timescale 1ns/1ns

module width_8to16(
	input 				   clk 		,   
	input 				   rst_n		,
	input				      valid_in	,
	input	   [7:0]		   data_in	,
 
 	output	reg			valid_out,
	output   reg [15:0]	data_out
);

	reg [7:0] data_temp;
	reg flag;

	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0)
			data_temp <= 8'd0;
		else if(valid_in)
			data_temp <= data_in;
		else
			data_temp <= data_temp;
	end

	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0)
			flag <= 1'b0;
		else if(valid_in)
			flag <= ~flag;		
	end

	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0)
			data_out <= 16'd0;
		else if(valid_in && flag == 1'b1)
			data_out <= {data_temp,data_in};
		else
			data_out <= data_out;
	end

	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0)
			valid_out <= 1'b0;
		else if(valid_in && flag == 1'b1)
			valid_out <= 1'b1;
		else
			valid_out <= 1'b0;
	end





endmodule

全部评论

相关推荐

我的人生算是废了,23届裸辞空档一年,存款只能坚持几个月了,找不到像样的工作了,人生何去何从。
梦想是成为七海千秋:这大环境下为什么要裸辞呀,风险真的挺大的,而且社招的话23届没有太多的竞争力,不过既然已经裸辞了就不要焦虑慢慢找。
点赞 评论 收藏
分享
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务