题解 | #根据状态转移图实现时序电路#

根据状态转移图实现时序电路

http://www.nowcoder.com/practice/e405fe8975e844c3ab843d72f168f9f4

`timescale 1ns/1ns

module seq_circuit( input C , input clk , input rst_n,

output wire Y
);

reg [1:0] curr_state;
reg [1:0] next_state;

always@(posedge clk or negedge rst_n) begin
    if(!rst_n)
        curr_state <= 2'b00;
    else
        curr_state <= next_state;
end

always @(*) begin
    next_state = 2'b00;
    case(curr_state)
        2'b00 : begin
            if(C == 1'b0) begin
                next_state = 2'b00;
            end else begin
                next_state = 2'b01;
            end
        end
        2'b01 : begin
            if(C == 1'b0) begin
                next_state = 2'b11;
            end else begin
                next_state = 2'b01;
            end
        end
        2'b10 : begin
            if(C == 1'b0) begin
                next_state = 2'b00;
            end else begin
                next_state = 2'b10;
            end
        end
        2'b11 : begin
            if(C == 1'b0) begin
                next_state = 2'b11;
            end else begin
                next_state = 2'b10;
            end
        end
        default : next_state = 2'b00;
    endcase
end

assign Y = (curr_state[1] & curr_state[0]) | (curr_state[1] & C);

endmodule

全部评论

相关推荐

点赞 评论 收藏
分享
鼠鼠没有找到暑期实习,简历太空了,感觉直接去秋招会完蛋,这个时间点找个日常实习混个简历,边实习边准备秋招有没有搞头啊
梦想是成为七海千秋:可以的完全可以的,找不到暑期就找日常,秋招之前还是有很多时间可以实习的,哪怕只实习了一个月都可以写在简历上
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务