单选1  ~10:大疆2020校招数字IC笔试题解析(1)-芯片开发/FPGA     单选11~20:大疆2020校招数字IC笔试题解析(2)-芯片开发/FPGA      多选1~10:    1. 异步处理     1. 关于异步处理,以下说法正确的是:                       答案:AC        解析:         A. 静态的不变化,跨时钟也不变化,不会有亚稳态;         B. 异步 FIFO 采样格雷码的原因是连续地址信号采用格雷码,相邻状态只有 1 位变化;         C. 单bit 和多 bit 都需要考虑【快到慢,慢到快】;                 单 bit 慢到快:打拍;         单 bit 快到慢:脉冲展宽,握手;         多 bit 异步 FIFO,需要根据读写时钟快慢来算 FIFO 深度;                 2. 存储器相关        2. 下面哪些是非易失性存储器        A、Flash       B、EPROM       C、DRAM       D、SRAM       答案:AB       解析:ROM 和 Flash,其中:        (1)ROM       ROM(Read-Only Memory,只读存储器)。信息一旦写入后就固定下来,即使切断电源,信息也不会丢失,所以又称为固定存储器。ROM所存数据通常是装入整机前写入的,整机工作过程中只能读出。        可编程只读存储器(PROM);        可擦可编程序只读存储器(EPROM);        带电可擦可编程只读存储器(EEPROM,一般使用 IIC 接口读写)。                 (2)Flash       Nor Flash、Nand Flash                    3. FFT 快速傅里叶变换        3. 关于 16 点 FFT 描述正确的是()               答案:BCD        解析:         16 点,2 ^ 4  = 16 ,4 级蝶形,每级 16/2 = 8 个蝶形;         每个蝶形需要 1 次复数乘法和 2 次复数加法;                 4. 可综合与不可综合        4. Verilog 语言中,下列哪些语句不可以被综合:                     答案:BD        解析:         initial和 force 用于仿真的,在 Testbench 里,initial 赋初始值,force 用于强制赋值。                          5. 关键路径、时序违例        5. 在不增加 pipeline 的情况下,如何解决一条critical path 的 setup 时序不满足的问题?                                  答案:ACD           解析:            setup 违例,主要是因为路径中延时过长导致,所以优化的办法是尽量减少路径延时,优化关键路径,使用更快的器件(对setup时间要求更短),降低时钟频率。            ABCD 都可以解决 setup 时序违例问题。            其中,B 属于插入流水线 pipeline,根据题意,不选。                       6. 代码覆盖率           6. 下列关于代码覆盖率描述错误的是:                              答案:ACD           解析:            代码覆盖率包括:            (1)语句覆盖率            (2)条件覆盖率;            (3)分支覆盖率;            (4)状态机覆盖率;            代码覆盖率 100 % 不代表功能没问题。                                   (1)功能覆盖率高但是代码覆盖率低            分析未覆盖到的代码,推断仿真是否有遗漏的功能点,代码是否为冗余或不可达代码;           (2)功能覆盖率低但是代码覆盖率高            仿真用例没有关注到一些功能点,需要修改测试用例。                       代码覆盖率:            白盒覆盖率,一般仿真工具都有这个统计功能。代码覆盖率达到 100% 不代表设计没有问题。           (1)分支覆盖率            针对 if…else、case 等分支语句,看代码中设计的分支是否都被测试到了。            针对 if(条件1),只要条件 1 取 true 和 false 都执行过,则这个分支就完全覆盖了。           (2)语句覆盖率            语句覆盖率上不去时,可以查看未覆盖处的代码是测试用例的疏忽、冗余代码或是保护用途的代码,比如case的default;           (3)翻转覆盖率            包括两态翻转(0/1)和三态翻转(0/1/Z),常用的是两态翻转。对于单比特信号而言,若仿真用例使得该信号从0到1和从1到0的翻转均发生,则认为这里的翻转覆盖率是全面的(100%)。            即使翻转覆盖率达到 100%,分支覆盖率和语句覆盖率也不一定达到 100%。           (4)条件覆盖率与表达式覆盖率            条件覆盖率可以看作是对分支覆盖率的补充。每一个分支条件表达式中,所有条件的覆盖。            比如:            if(a&& b)           statement1;            else           statement2;                        测试用例:a=1,b = 1 和 a = 0,b = 1            分支覆盖率 100%,两个分支都覆盖了,但是条件覆盖率不是 100%,有判断条件没有被测试到。                       (5)状态机覆盖率                                   功能覆盖率            又称黑盒测试覆盖率,只关心功能,不关心具体的代码是如何实现的。如果想要统计功能覆盖率,需要在 System Verilog 编写的测试用例中添加覆盖组,仿真器基于它来统计功能覆盖率。                       以上覆盖率来源:           狄超, 刘萌. FPGA之道.                                   7. C 语言全局数组           7. C 程序中定义了一个全局数组,编译后此数组变量可能分配在下列哪个段:                     答案:BC           解析:                                             8. 时序电路              8. 下面电路属于时序电路的是?                           答案:ABD              解析:               不需要。                             9. 速度优化和面积优化              9. 下列优化方法中哪些是速度优化方法:                           答案:BC              解析:              (1)面积优化,提高资源利用率以降低功耗要求:串行化,资源共享,逻辑优化;              (2)速度优化,提高运行速度:流水线设计,寄存器配平,关键路径优化,迟置信号后移。                             10. 调制解调              10. 对于 PSK 和 QAM 调制,以下哪些说法是正确的:                                       答案:              解析:               A. 4QAM中星座点幅度一致,其他不一致;               B. 对;               C. 对;               D. 对,16 QAM 点数多,传送效率高,不同星座点的欧氏距离小,抗噪声能力下降;                                 单选1  ~10:大疆2020校招数字IC笔试题解析(1)-芯片开发/FPGA                 单选11~20:大疆2020校招数字IC笔试题解析(2)-芯片开发/FPGA                                         FPGA时序分析之关键路径【华为静态时序分析资料】                                                          本文首发在【公众号——FPGA探索者】                      
点赞 7
评论 2
全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务