题解 | #异步复位的串联T触发器#

异步复位的串联T触发器

https://www.nowcoder.com/practice/9c8cb743919d405b9dac28eadecddfb5

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q
);
//*************code***********//
initial q=0;
wire q1,q2;
TFF inst1(
    .data(data),
    .clk(clk),
    .rst(rst),
    .q(q1)
);
TFF inst2(
    .data(q1),
    .clk(clk),
    .rst(rst),
    .q(q2)
);
always@(*)begin
    q=q2;
end
//*************code***********//
endmodule


module TFF(
    input wire data,rst,clk,
    output reg q
);
always@(posedge clk)begin
    if(~rst)begin
        q<=0;
    end else begin
        if(data)begin
            q<=~q;
        end 
    end
end

endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务