题解 | #位运算与逻辑运算#

位运算与逻辑运算

https://www.nowcoder.com/practice/6fd04c2f524c4d898e7c9c16d37ef4b9

`timescale 1ns/1ns

module top_module(
	input [2:0] a, 
	input [2:0] b, 
	output [2:0] c,
	output d
);

assign c = a|b;
assign d = a||b;
	

endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务