题解 | #使用8-3优先编码器实现16-4优先编码器#

使用8线-3线优先编码器Ⅰ实现16线-4线优先编码器

https://www.nowcoder.com/practice/dcfa838e43de4744bc976abee96dc566

`timescale 1ns/1ns
module encoder_83(
   input      [7:0]       I   ,
   input                  EI  ,
   
   output wire [2:0]      Y   ,
   output wire            GS  ,
   output wire            EO    
);
assign Y[2] = EI & (I[7] | I[6] | I[5] | I[4]);
assign Y[1] = EI & (I[7] | I[6] | ~I[5]&~I[4]&I[3] | ~I[5]&~I[4]&I[2]);
assign Y[0] = EI & (I[7] | ~I[6]&I[5] | ~I[6]&~I[4]&I[3] | ~I[6]&~I[4]&~I[2]&I[1]);

assign EO = EI&~I[7]&~I[6]&~I[5]&~I[4]&~I[3]&~I[2]&~I[1]&~I[0];

assign GS = EI&(I[7] | I[6] | I[5] | I[4] | I[3] | I[2] | I[1] | I[0]);
//assign GS = EI&(| I);
         
endmodule

module encoder_164(
   input      [15:0]      A   ,
   input                  EI  ,
   
   output wire [3:0]      L   ,
   output wire            GS  ,
   output wire            EO    
);
wire  [2:0]Y1;
wire  [2:0]Y2;
wire GS1,GS2;
wire  EO1,EO2;
encoder_83 e1(
    .I(A[7:0]),
    .EI(EO2&EI),
     .Y(Y1),
     .GS(GS1),
     .EO(EO1)
);
encoder_83 e2(
    .I(A[15:8]),
    .EI(EI),
     .Y(Y2),
     .GS(GS2),
     .EO(EO2)
);

assign L[3]=GS2;
assign L[2:0] =(L[3]==1'b1)? (Y2[2:0]):(Y1[2:0]);

assign EO=EO1&EO2;
assign GS=GS1|GS2;


endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务