题解 | #输入序列不连续的序列检测#未使用fsm

输入序列不连续的序列检测

https://www.nowcoder.com/practice/f96d0e94ec604592b502b0f1800ed8aa

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input data,
	input data_valid,
	output reg match
	);
// 0110
reg [3:0] data_ray;
always @(posedge clk or negedge rst_n) begin
    if (~rst_n) begin
        match <= 0;
        data_ray <= 0;
    end
    else begin
        if (data_valid)
            data_ray <= {data_ray[2:0],data};
			if (data_ray[2:0]==3'b011&& (~data))
				match <= 1;
        if (match)
            match <= 0;
    end
end

  
endmodule

match的时序需提前一个时钟周期

全部评论

相关推荐

CARLJOSEPH...:宝宝你戾气太大了
点赞 评论 收藏
分享
05-29 22:11
门头沟学院 Java
Elastic90:抛开学历造假不谈,这公司的招聘需求也挺怪的,Java开发还要求你有图文识别、移动端开发和c++的经验,有点逆天了。
点赞 评论 收藏
分享
昨天 11:33
江南大学 Java
已经在暑假实习了&nbsp;,没有明确说有hc,纠结实习到八月份会不会有点影响秋招毕竟感觉今年好多提前批
程序员小白条:92的话准备提前批,其他没必要,没面试机会的,而且你要准备充分,尤其八股和算法题
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务