题解 | #异步复位的串联T触发器#

异步复位的串联T触发器

https://www.nowcoder.com/practice/9c8cb743919d405b9dac28eadecddfb5

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output wire q  
);
//*************code***********//
//注意这里输出是线网型数据q
wire q1;
TFF TFF_1(.data(data),.clk(clk),.rst(rst),.q(q1));
TFF TFF_2(.data(q1),.clk(clk),.rst(rst),.q(q));
endmodule
//*************code***********//
module TFF(input wire data,clk,rst,output reg q);//这个输出是寄存器类型的
always @(posedge clk or negedge rst)
begin
    if(!rst)
    q<=1'b0;//复位清零
    else if(data == 1'b0)
    q<=q;//输入是0 的时候相同,输入1的时候取反
    else if(data ==1'b1)
    q<=~q;
end
endmodule

全部评论
点赞 收藏 评论
分享
牛客网
牛客企业服务