首页 > 试题广场 >

在Verilog中,“assign din[31:0] =

[单选题]
在Verilog中,“assign din[31:0] = 32hFF00ABC4:assign dout[31:0] =(din<<2)>>4; “ dout的值是多少?( )
  • 32'h03C02AF1
  • 32'h0FC02AF1
  • 32'h00FF00AB
  • 32'hFFFF00AB
 FF00XXXX<<2  = FC0XXXXX >> 4 = 0FCXXXXX
发表于 2022-03-16 21:06:44 回复(0)
左移低位补0,位宽增加。但是此处dout已经规定位宽32位,所以,即使左移也应该保持位宽不变,高位11舍去。
发表于 2023-08-09 16:16:59 回复(0)
全部化成二进制再移就清楚了
发表于 2023-02-16 19:53:47 回复(0)
是两位

发表于 2022-07-10 16:44:49 回复(0)