请用Verilog实现4位约翰逊计数器(扭环形计数器),计数器的循环状态如下。 电路的接口如下图所示。
输入描述:
   input                clk ,   input                rst_n


输出描述:
   output reg [3:0]     Q 
加载中...