题解 | #信号顺序调整#

信号顺序调整

https://www.nowcoder.com/practice/3f6db9ded7ca4de7981c0a826e924563

`timescale 1ns/1ns

module top_module(
input [15:0]in,
output [15:0]out    
);

assign out={in[3:0],in[7:4],in[11:8],in[15:12]};


endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务