题解 | #单端口RAM#

单端口RAM

https://www.nowcoder.com/practice/a1b0c13edba14a2984e7369d232d9793

`timescale 1ns/1ns

module RAM_1port(
    input clk,
    input rst,
    input enb,
    input [6:0]addr,
    input [3:0]w_data,
    output wire [3:0]r_data
);
//*************code***********//
reg [3:0] mem[127:0];

always @(posedge clk) begin
    if (enb == 1'b1) begin
        mem[addr] <= w_data;
    end
end

assign r_data = (enb == 1'b0) ? mem[addr] : 'd0;

//*************code***********//
endmodule

全部评论

相关推荐

点赞 评论 收藏
转发
点赞 收藏 评论
分享
牛客网
牛客企业服务