芯动科技FPGA工程师面经

校园招聘,之前在他们官网投了简历,投的时候纠结是投FPGA还是投数字IC岗,最后选择了FPGA,以为会是自己熟悉的东西,但结果有点出人意料。

一面问题整理:

1、FPGA开发流程

2、你提到综合,那你有写过约束嘛?

3、你提到复位,那你知道异步复位和同步复位的优缺点嘛?

4、如何做脉冲同步?除了握手还有其他办法嘛?异步FIFO有哪些要点?空满信号如何判断?

5、简历里提到你了解ASIC设计,那他与FPGA的设计流程有那些不同呢?

6、如果将工程从ASIC移植到FPGA上,需要注意哪些东西?

7、你知道透明锁存器嘛?如何使用透明锁存器搭建一个触发器?

8、如何防止一个常1或常0信号不会被综合掉?

9、OFDM调制是怎么实现的?串并转化是怎么实现的?

10、写过脚本语言嘛?用过AXI AMBA这些协议嘛?

11、了解vivado的使用技巧吗?优化策略之类的?

12、你有什么想问的?

芯动的FPGA主要是做原型验证的,总共两面,两面的感觉都不是很好,果然面完一周以后就没挂了。

全部评论
不是应该问项目东西吗?怎么变成了八股文?
点赞
送花
回复
分享
发布于 2023-01-02 01:50 湖北

相关推荐

8 60 评论
分享
牛客网
牛客企业服务