实现4bit无符号数流水线乘法器设计。 电路的接口如下图所示。
输入描述:
    input                         clk         ,       input                         rst_n        ,    input    [size-1:0]            mul_a        ,    input    [size-1:0]            mul_b        


输出描述:
     output    reg    [size*2-1:0]    mul_out    
加载中...