实现4bit位宽的格雷码计数器。 电路的接口如下图所示。
输入描述:
   input   clk,   input   rst_n


输出描述:
   output  reg [3:0] gray_out
加载中...