实现数据位宽转换电路,实现8bit数据输入转换为16bit数据输出。其中,先到的8bit数据应置于输出16bit的高8位。 电路的接口如下图所示。valid_in用来指示数据输入data_in的有效性,valid_out用来指示数据输出data_out的有效性;clk是时钟信号;rst_n是异步复位信号。 接口时序示意图
输入描述:
    input                    clk         ,       input                    rst_n        ,    input                      valid_in    ,    input       [7:0]           data_in    


输出描述:
     output    reg            valid_out,    output   reg [15:0]    data_out
加载中...