某同步时序电路的状态转换图如下,→上表示“CY”,圆圈内为现态,→指向次态。 请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。 电路的接口如下图所示,C是单bit数据输入端。
输入描述:
   input                C   ,   input                clk ,   input                rst_n


输出描述:
      output   wire        Y 
加载中...