②请使用2片该优先编码器Ⅰ及必要的逻辑电路实现16线-4线优先编码器。优先编码器Ⅰ的真值表和代码已给出。 可将优先编码器Ⅰ的代码添加到本题答案中,并例化。 优先编码器Ⅰ的代码如下: module encoder_83( input [7:0] I , input EI , output wire [2:0] Y , output wire GS , output wire EO ); assign Y[2] = EI & (I[7] I[6] I[5] I[4]); assign Y[1] = EI & (I[7] I[6] ~I[5]&~I[4]&I[3] ~I[5]&~I[4]&I[2]); assign Y[0] = EI & (I[7] ~I[6]&I[5] ~I[6]&~I[4]&I[3] ~I[6]&~I[4]&~I[2]&I[1]); assign EO = EI&~I[7]&~I[6]&~I[5]&~I[4]&~I[3]&~I[2]&~I[1]&~I[0]; assign GS = EI&(I[7] I[6] I[5] I[4] I[3] I[2] I[1] I[0]); assign GS = EI&( I); endmodule 下表是8线-3线优先编码器Ⅰ的功能表 EI I[7] I[6] I[5] I[4] I[3] I[2] I[1] I[0] Y[2] Y[1] Y[0] GS EO 0 x x x x x x x x 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 x x x x x x x 1 1 1 1 0 1 0 1 x x x x x x 1 1 0 1 0 1 0 0 1 x x x x x 1 0 1 1 0 1 0 0 0 1 x x x x 1 0 0 1 0 1 0 0 0 0 1 x x x 0 1 1 1 0 1 0 0 0 0 0 1 x x 0 1 0 1 0 1 0 0 0 0 0 0 1 x 0 0 1 1 0 1 0 0 0 0 0 0 0 1 0 0 0 1 0
输入描述:
   input      [15:0]      A   ,   input                  EI  


输出描述:
   output wire [3:0]      L   ,   output wire            GS  ,   output wire            EO  
加载中...