设计一个同时输出7分频的时钟分频器,占空比要求为50% 注意rst为低电平复位 信号示意图: 波形示意图:
输入描述:
输入信号 clk_in rst 类型 wire


输出描述:
输出信号  clk_out7类型  wire
加载中...