题目描述: 设计一个单端口RAM,它有: 写接口,读接口,地址接口,时钟接口和复位;存储宽度是4位,深度128。 注意rst为低电平复位 信号示意图:
输入描述:
输入信号   enb, clk, rst  addr  w_data类型 wire在testbench中,clk为周期5ns的时钟,rst为低电平复位


输出描述:
输出信号   r_data        类型  wire
加载中...