某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。 电路的接口如下图所示。
输入描述:
      input                A   ,      input                clk ,      input                rst_n


输出描述:
      output   wire        Y  
加载中...