下表是某优先编码器的真值表。 ①请用Verilog实现此优先编码器
输入描述:
①输入描述:input      [8:0]         I_n


输出描述:
①输出描述:output reg [3:0]         Y_n
加载中...