@devinaaaa 我参考你的波形写了下testbench,用vcs进行了仿真,我这边的波形wfull起的时间和读数都是没有问题的,参考下面的波形。所以我猜测,可能是modelsim的行为与vcs有差别,或者是你仿真的winc rinc不是在testbench中使用<=赋值或通过#1ps的方式在沿后赋值的?
点赞

相关推荐

点赞 评论 收藏
分享
牛客网
牛客企业服务