题解 | #4bit超前进位加法器电路#

4bit超前进位加法器电路

https://www.nowcoder.com/practice/4d5b6dc4bb2848039da2ee40f9738363

`timescale 1ns/1ns

module lca_4(
	input		[3:0]       A_in  ,
	input	    [3:0]		B_in  ,
    input                   C_1   ,
 
 	output	 wire			CO    ,
	output   wire [3:0]	    S
);

	wire [4:1] G, P;
	wire [4:0] C;
	assign C[0] = C_1;
	assign G = A_in & B_in;
	assign P = A_in ^ B_in;
	assign S = P ^ C[3:0];
	assign C[4:1] = G ^ (P & C[3:0]);
	assign CO = C[4];

endmodule

按公式写就行了,1 bit 的“+”,其实就是异或运算。

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务