硬件/fpga逻辑岗实习

海康威视

4.27号投的简历
一志愿投的数字集成电路,在简历复筛的时候挂了(可能和我中途在官网上调志愿有关,也有可能是简历不符合要求吧)
现在启动的是二志愿 fpga 显示简历复筛。。。待续

华为

4.27投的上合实习生招聘   fpga岗位
4.28号  收到笔试通知
4.29笔试
笔试40道单选  一题2分   ,5道不定选  一题4分  ,共100分,   60分为及格分
4.30号收到华为性格测试
5.9 收到华为专业面试通知邮件
5.12号上午专业面试
上来先自我介绍,然后问我会什么 我说数电和verilog。。。然后就就手撕代码(可能我简历里面和fpga相关的项目没有就没有问了,全程一直没有问过项目)
给的是一个状态转移图,要求用状态机的方法写出代码(限时30分钟)。这个我之前有看过 ,所以就写好了。最后面试官检查的时候,指出了2个小错误。问题不大。


module dec(
  input clk,
  input rst_n,
  input Go,Ws,
  output result

);

  reg [3:0] curr_st;
  reg [3:0] next_st;
 
parameter S_IDLE = 4'b0001;  
parameter S_READ = 4'b0010; 
parameter S_DELAY = 4'b0100; 
parameter S_DONE = 4'b1000; 

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
      curr_st <= S_IDLE ;
     end
    else begin
      curr_st <= next_st;
         end
    end
 always @(*)begin
 if(!rst_n)begin
  next_st = 4'b0000;
   end
 else begin
 case (curr_st)
   S_IDLE :if(Go) next_st = S_READ ;
           else   next_st = S_IDLE ;
   S_READ :  next_st =S_DELAY ;
            
   S_DELAY:if(!Ws) next_st = S_DONE ;
            else   next_st = S_READ ;
   S_DONE :  next_st = S_IDLE ;
  default:  next_st = S_IDLE ;
endcase
end 
end

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
       result <= 1'0;
    end
    else begin 
    case(curr_st ==S_DONE  )
   result <= 1'b1;
  endcase
end
end
end 
endmodule
  


然后让我搭一个半加器电路。并问我为什么这么搭,并写出来真值表。
后面就问有没有学过和数电相关的课程,我说本科的时候学过,硕士学的偏通信。然后问我什么叫hdm5(忘了,好像是叫这个词来着)编码。。问我哪个学的好,我说数字图像处理。就问我什么叫图像梯度。。。然后看我简历上有正在做的用fpga加速yolo网络,然后就问我yolo有哪些层,处理的流程,和其他网络(比如faster-RCNN)有什么区别。然后问了下什么叫阻塞赋值非阻塞赋值,刚才写的代码为什么有的写阻塞赋值有的写非阻塞赋值。最后问了下我为什么不选深度学习方向,,,,问我实习的话想要学到些什么。。。全过程1个小时。。
5.12中午在官网上查到专业面试过了(效率还是蛮高的)
5.14下午收到15号面试通知
5.15主管面试
主管和蔼可亲,全程笑眯眯。
首先自我介绍,聊聊人生啥的,放松正常发挥就可以了。
问了现在有没有开学
问了我现在的专业以及学校学了哪些课程
问了项目,遇到的最大困难,怎么解决的
问了从本科到现在对你影响最大的事
从本科到现在一直坚持的事
业余时间干什么
怎么了解华为的
中途问我是不是紧张
最后自己有没有想问的。
全程20分钟左右~


未完待续。。。。










#fpga岗面经##海康威视##华为##实习##面经##硬件开发工程师#
全部评论
除了牛客,咱硬件还有没有啥讨论区,太难了
1 回复
分享
发布于 2020-05-15 13:04
坐等更新。现在能在牛客上看到个硬件的真是太不容易了。
5 回复
分享
发布于 2020-05-13 12:42
联想
校招火热招聘中
官网直投
老哥投的是海思嘛
点赞 回复
分享
发布于 2021-03-18 18:47

相关推荐

阿里云暑期实习的面试和笔试都结束了,终面面试官说很快出结果,希望能等到OC从三月初开始到今上午为止,一共是四轮技术面+一轮笔试手撕代码,感觉有点奇怪,因为居然没有HR面,今上午的技术终面说是能接到这个面试说明HR面过了?很疑惑?3月2日&nbsp;一面小主管技术面(20多分钟)提问:整个项目的背景和应用场景、算法的选用与对比、用双目+IMU实现定位的原理;项目数据的流向;数据采集在做什么,为什么要这么做,介绍SPI协议和时序。感受:面试来得非常突然,晚上七点接到消息说第二天上午能否电话面试,结果晚上八点半就打来电话进行面试,基本就是深挖项目,但我准备得不充分,回答得很不好,面试提前结束了,感觉小主管更侧重了解整个项目的逻辑,但无奈我算法方面确实没准备得太深入,我以为会深挖FPGA开发的3月3日&nbsp;二面技术人员技术面(40多分钟)提问:工作意向,本科做的是否相关,对SystemVerilog的了解程度,为什么采用HLS进行设计,数据怎么写入DDR,介绍一下AXIS协议;常规八股有建立时间和保持时间,亚稳态如何消除,同步FIFO的设计,格雷码为什么可以消除亚稳态,跨时钟域的数据传输(握手协议)。感受:在阿里云的直系师兄捞了我一把,不然以我一面表现应该会被直接挂掉二面感受要好很多,问了很多FPGA相关的问题,基本都答上来了。3月7日&nbsp;三面大主管技术面(40多分钟)提问:简历上的都随便聊了聊,包括本科的数模比赛,工作意向;印象最深的两个问题:如何评估项目效果,算法的运行效果;如何提升AXI带宽:压缩数据、扩展总线接口、扩展总线位宽。感受:不会太深挖技术细节,会从项目整体优化等方面提问,也闲聊了很多,这一面感受比较好,有说有笑的3月18日&nbsp;手撕代码(20多分钟)手撕两道代码,一道简单题,一道中等题,中等题碰巧提前准备过,十分钟写完代码,后面面试官让我讲解一下思路,完毕。4月12日&nbsp;四面技术终面(30多分钟)提问:fpga与arm端的对比,对网络通信的了解,主要是查看对除fpga开发以外的知识的了解,还问了网络相关知识和八股。感受:有点不太妙,完全没准备网络的相关八股,基本上连猜带蒙,希望上天保佑!
点赞 评论 收藏
转发
14 146 评论
分享
牛客网
牛客企业服务